• International Journal of Extreme Manufacturing
  • Vol. 5, Issue 3, 32003 (2023)
1, 2, and 1,*
Author Affiliations
  • 1School of Advanced Materials, Shenzhen Graduate School, Peking University, Shenzhen 518055, People’s Republic of China
  • 2Huawei Technologies Co., Ltd, Shenzhen 518129, People’s Republic of China
  • show less
    DOI: 10.1088/2631-7990/acd88e Cite this Article
    [in Chinese], [in Chinese], [in Chinese]. Atomic layer deposition of thin films: from a chemistry perspective[J]. International Journal of Extreme Manufacturing, 2023, 5(3): 32003 Copy Citation Text show less
    References

    [1] Salahuddin S, Ni K and Datta S 2018 The era of hyper-scaling in electronics Nat. Electron. 1 442–50

    [2] Hisamoto D, Lee W C, Kedzierski J, Takeuchi H, Asano K, Kuo C, Anderson E, King T J, Bokor J and Hu C M 2000 FinFET—a self-aligned double-gate MOSFET scalable to 20 nm IEEE Trans. Electron Devices 47 2320–5

    [3] Singh N et al 2006 High-performance fully depleted silicon nanowire (diameter . 5 nm) gate-all-around CMOS devices IEEE Electron. Device Lett. 27 383–6

    [4] George S M 2010 Atomic layer deposition: an overview Chem. Rev. 110 111–31

    [5] Puurunen R L 2005 Surface chemistry of atomic layer deposition: a case study for the trimethylaluminum/water process J. Appl. Phys. 97 121301

    [6] Richey N E, De Paula C and Bent S F 2020 Understanding chemical and physical mechanisms in atomic layer deposition J. Chem. Phys. 152 040902

    [7] MeiCY, ShenWC,Wu CH,ChihYD,KingYC,LinCJ, Tsai M J, Tsai K H and Chen F T 2013 28-nm 2T high-K metal gate embedded RRAM with fully compatible CMOS logic processes IEEE Electron Device Lett. 34 1253–5

    [8] Cai M, Ramani K, Belyansky M, Greene B, Lee D H, Waidmann S, Tamweber F and Henson W 2010 Stress liner effects for 32-nm SOI MOSFETs with HKMG IEEE Trans. Electron Devices 57 1706–9

    [9] Pesi′cM et al 2016 Low leakage ZrO2 based capacitors for sub 20 nm dynamic random access memory technology nodes J. Appl. Phys. 119 064101

    [10] Knebel S, Pesic M, Cho K, Chang J, Lim H, Kolomiiets N, Afanas’ev V V, Muehle U, Schroeder U and Mikolajick T 2015 Ultra-thin ZrO2/SrO/ZrO2 insulating stacks for future dynamic random access memory capacitor applications J. Appl. Phys. 117 224102

    [11] Natarajan S et al 2014. A 14nm logic technology featuring 2nd-generation FinFET, air-gapped interconnects, self-aligned double patterning and a 0.0588 μm2 SRAM cell size 2014 IEEE International Electron Devices Meeting (San Francisco, CA: IEEE) pp 3.7.1–3

    [12] Clark R, Tapily K, Yu K H, Hakamata T, Consiglio S, O’Meara D, Wajda C, Smith J and Leusink G 2018 Perspective: new process technologies required for future devices and scaling APL Mater. 6 058203

    [13] ShengJZ,LeeJH,ChoiWH,HongT, KimMandParkJS 2018 Review article: atomic layer deposition for oxide semiconductor thin film transistors: advances in research and development J. Vac. Sci. Technol. A 36 060801

    [14] Sheng J Z, Hong T, Lee H M, Kim K, Sasase M, Kim J, Hosono H and Park J S 2019 Amorphous IGZO TFT with high mobility of ~70 cm2/(V s) via vertical dimension control using PEALD ACS Appl. Mater. Interfaces 11 40300–9

    [15] Chen Q et al 2022 Investigation of asymmetric characteristics of novel vertical channel-all-around (CAA) In-Ga-Zn-O field effect transistors IEEE Electron Device Lett. 43 894–7

    [16] Wang X W 2021 Atomic layer deposition of iron, cobalt, and nickel chalcogenides: progress and outlook Chem. Mater. 33 6251–68

    [17] Profijt H B, Potts S E, Van De Sanden M C M and Kessels W M M 2011 Plasma-assisted atomic layer deposition: basics, opportunities, and challenges J. Vac. Sci. Technol. A 29 050801

    [18] Lee Y et al 2021 Growth mechanism and electrical properties of tungsten films deposited by plasma-enhanced atomic layer deposition with chloride and metal organic precursors Appl. Surf. Sci. 568 150939

    [19] Miikkulainen V, Leskel. M, Ritala M and Puurunen R L 2013 Crystallinity of inorganic films grown by atomic layer deposition: overview and general trends J. Appl. Phys. 113 021301

    [20] Cremers V, Puurunen R L and Dendooven J 2019 Conformality in atomic layer deposition: current status overview of analysis and modelling Appl. Phys. Rev. 6 021302

    [21] Mackus A J M, Merkx M J M and Kessels W M M 2019 From the bottom-up: toward area-selective atomic layer deposition with high selectivity Chem. Mater. 31 2–12

    [22] Mackus A J M, Schneider J R, MacIsaac C, Baker J G and Bent S F 2019 Synthesis of doped, ternary, and quaternary materials by atomic layer deposition: a review Chem. Mater. 31 1142–83

    [23] Meng X B, Wang X W, Geng D S, Ozgit-Akgun C, Schneider N and Elam J W 2017 Atomic layer deposition for nanomaterial synthesis and functionalization in energy technology Mater. Horiz. 4 133–54

    [24] Cao K, Cai J M and Chen R 2020 Inherently selective atomic layer deposition and applications Chem. Mater. 32 2195–207

    [25] Hagen D J, Pemble M E and Karppinen M 2019 Atomic layer deposition of metals: precursors and film growth Appl. Phys. Rev. 6 041309

    [26] H.m.l.inen J, Ritala M and Leskel. M 2014 Atomic layer deposition of noble metals and their oxides Chem. Mater. 26 786–801

    [27] Gordon P G, Kurek A and Barry S T 2015 Trends in copper precursor development for CVD and ALD applications ECS J. Solid State Sci. Technol. 4 N3188–97

    [28] Knisley T J, Kalutarage L C and Winter C H 2013 Precursors and chemistry for the atomic layer deposition of metallic first row transition metal films Coord. Chem. Rev. 257 3222–31

    [29] Knapas K and Ritala M 2013 In situ studies on reaction mechanisms in atomic layer deposition Crit. Rev. Solid State Mater. Sci. 38 167–202

    [30] Barry S T, Gordon P G and Vandalon V 2022 Common precursors and surface mechanisms for atomic layer deposition Comprehensive Organometallic Chemistry IV vol 14 pp 534–52

    [31] Muneshwar T, Barlage D and Cadien K 2021 Stoichiometry controlled homogeneous ternary oxide growth in showerhead atomic layer deposition reactor and application for ZrxHf1-xO2 J. Vac. Sci. Technol. A 39 030401

    [32] Arroval T, Aarik L, Rammula R and Aarik J 2015 Growth of TixAl1-xOy films by atomic layer deposition using successive supply of metal precursors Thin Solid Films 591 276–84

    [33] Bielinski A R, Kamphaus E P, Cheng L and Martinson A B F 2022 Resolving the heat of trimethylaluminum and water atomic layer deposition half-reactions J. Am. Chem. Soc. 144 15203–10

    [34] Guerra-Nunez C, Dobeli M, Michler J and Utke I 2017 Reaction and growth mechanisms in Al2O3 deposited via atomic layer deposition: elucidating the hydrogen source Chem. Mater. 29 8690–703

    [35] Oh I K, Sandoval T E, Liu T L, Richey N E, Nguyen C T, Gu B, Lee H B R, Tonner-Zech R and Bent S F 2022 Elucidating the reaction mechanism of atomic layer deposition of Al2O3 with a series of Al(CH3)xCl3-x and Al(CyH2y+1)3 precursors J. Am. Chem. Soc. 144 11757–66

    [36] Seghete D, Rayner G B Jr, Cavanagh A S, Anderson V R and George S M 2011 Molybdenum atomic layer deposition using MoF6 and Si2H6 as the reactants Chem. Mater. 23 1668–78

    [37] Sechrist Z A, Fabreguette F H, Heintz O, Phung T M, Johnson D C and George S M 2005 Optimization and structural characterization of W/Al2O3 nanolaminates grown using atomic layer deposition techniques Chem. Mater. 17 3475–85

    [38] Grubbs R K, Steinmetz N J and George S M 2004 Gas phase reaction products during tungsten atomic layer deposition using WF6 and Si2H6 J. Vac. Sci. Technol. B 22 1811–21

    [39] Zanders D, Liu J, Obenlüneschlo. J, Bock C, Rogalla D, Mai L, Nolan M, Barry S T and Devi A 2021 Cobalt metal ALD: understanding the mechanism and role of zinc alkyl precursors as reductants for low-resistivity co thin films Chem. Mater. 33 5045–57

    [40] LeeBH,HwangJK,NamJW, LeeSU,KimJT, Koo SM, Baunemann A, Fischer R A and Sung M M 2009 Low-temperature atomic layer deposition of copper metal thin films: self-limiting surface reaction of copper dimethylamino-2-propoxide with diethylzinc Angew. Chem., Int. Ed. 48 4536–9

    [41] Lu J L and Elam J W 2015 Low temperature ABC-type Ru atomic layer deposition through consecutive dissociative chemisorption, combustion, and reduction steps Chem. Mater. 27 4950–6

    [42] Qin X D and Zaera F 2018 Chemistry of ruthenium diketonate atomic layer deposition (ALD) precursors on metal surfaces J. Phys. Chem. C 122 13481–91

    [43] Ma Q, Guo H S, Gordon R G and Zaera F 2010 Uptake of copper acetamidinate ALD precursors on nickel surfaces Chem. Mater. 22 352–9

    [44] Ma Q, Guo H S, Gordon R G and Zaera F 2011 Surface chemistry of copper(I) acetamidinates in connection with atomic layer deposition (ALD) processes Chem. Mater. 23 3325–34

    [45] Elko-Hansen T D M and Ekerdt J G 2014 XPS investigation of the atomic layer deposition half reactions of bis(N-tert-butyl-N ′ -ethylpropionamidinato) cobalt(II) Chem. Mater. 26 2642–6

    [46] Puurunen R L 2005 Correlation between the growth-per-cycle and the surface hydroxyl group concentration in the atomic layer deposition of aluminum oxide from trimethylaluminum and water Appl. Surf. Sci. 245 6–10

    [47] Elliott S D and Greer J C 2004 Simulating the atomic layer deposition of alumina from first principles J. Mater. Chem. 14 3246–50

    [48] H.m.l.inen J, Hatanp.. T, Puukilainen E, Sajavaara T, Ritala M and Leskel. M 2011 Iridium metal and iridium oxide thin films grown by atomic layer deposition at low temperatures J. Mater. Chem. 21 16488–93

    [49] Leick N, Verkuijlen R O F, Lamagna L, Langereis E, Rushworth S, Roozeboom F, Van De Sanden M C M and Kessels W M M 2011 Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma J. Vac. Sci. Technol. A 29 021016

    [50] Kwon DS,AnCH,KimSH,KimDG,LimJ,JeonW and Hwang C S 2020 Atomic layer deposition of Ru thin films using (2,4-dimethyloxopentadienyl) (ethylcyclopentadienyl)Ru and the effect of ammonia treatment during the deposition J. Mater. Chem. C 8 6993–7004

    [51] Knapas K and Ritala M 2011 In situ reaction mechanism studies on atomic layer deposition of Ir and IrO2 from Ir(acac)3 Chem. Mater. 23 2766–71

    [52] Hwang J M et al 2021 Atomic layer deposition of a ruthenium thin film using a precursor with enhanced reactivity J. Mater. Chem. C 9 3820–5

    [53] H.m.l.inen J, Sajavaara T, Puukilainen E, Ritala M and Leskel. M 2012 Atomic layer deposition of osmium Chem. Mater. 24 55–60

    [54] Aaltonen T, Ritala M and Leskela M 2005 ALD of rhodium thin films from Rh(acac)3 and oxygen Electrochem. Solid-State Lett. 8 C99–C101

    [55] ZouYM,ChengCY, GuoYY, OngAJ,GoeiR,LiSZand Tok A I Y 2021 Atomic layer deposition of rhodium and palladium thin film using low-concentration ozone RSC Adv. 11 22773–9

    [56] Comstock D J, Christensen S T, Elam J W, Pellin M J and Hersam M C 2010 Tuning the composition and nanostructure of Pt/Ir films via anodized aluminum oxide templated atomic layer deposition Adv. Funct. Mater. 20 3099–105

    [57] Park N Y et al 2022 Atomic layer deposition of iridium using a tricarbonyl cyclopropenyl precursor and oxygen Chem. Mater. 34 1533–43

    [58] Molenbroek A M, Haukka S and Clausen B S 1998 Alloying in Cu/Pd nanoparticle catalysts J. Phys. Chem. B 102 10680–9

    [59] ZouYM,LiJH,ChengCY, WangZW, OngAJ,GoeiR, Li X L, Li S Z and TokA I Y 2021Atomic layer deposition of palladium thin film from palladium (II) hexafluoroacetylacetonate and ozone reactant Thin Solid Films 738 138955

    [60] Kim M, Nabeya S, Han S M, Kim M, Lee S, Kim H M, Cho S Y, Lee D J, Kim S H and Kim K B 2020 Selective atomic layer deposition of metals on graphene for transparent conducting electrode application ACS Appl. Mater. Interfaces 12 14331–40

    [61] Dendooven J et al 2020 Surface mobility and impact of precursor dosing during atomic layer deposition of platinum: in situ monitoring of nucleation and island growth Phys. Chem. Chem. Phys. 22 24917–33

    [62] Liu P F, Zhang Y C, Liu C, Emery J D, Das A, Bedzyk M J, Hock A S and Martinson A B F 2021 Thermal atomic layer deposition of gold: mechanistic insights, nucleation, and epitaxy ACS Appl. Mater. Interfaces 13 9091–100

    [63] M.kel. M, Hatanp.. T, Mizohata K, R.is.nen J, Ritala M and Leskel. M 2017 Thermal atomic layer deposition of continuous and highly conducting gold thin films Chem. Mater. 29 6130–6

    [64] Wu Y Z, Macco B, Vanhemel D, K.lling S, Verheijen M A, Koenraad P M, Kessels W M M and Roozeboom F 2017 Atomic layer deposition of In2O3:H from InCp and H2O/O2: microstructure and isotope labeling studies ACS Appl. Mater. Interfaces 9 592–601

    [65] Heo J, Liu Y Q, Sinsermsuksakul P, Li Z F, Sun L Z, Noh W and Gordon R G 2011 (Sn,Al)Ox films grown by atomic layer deposition J. Phys. Chem. C 115 10277–83

    [66] Heo J, Hock A S and Gordon R G 2010 Low temperature atomic layer deposition of Tin oxide Chem. Mater. 22 4964–73

    [67] Dai M, Kwon J, Halls M D, Gordon R G and Chabal Y J 2010 Surface and interface processes during atomic layer deposition of copper on silicon oxide Langmuir 26 3911–7

    [68] Setthapun W et al 2010 Genesis and evolution of surface species during Pt atomic layer deposition on oxide supports characterized by in situ XAFS analysis and water-gas shift reaction J. Phys. Chem. C 114 9758–71

    [69] Ten Eyck G A, Pimanpang S, Bakhru H, Lu T M and Wang G C 2006 Atomic layer deposition of Pd on an oxidized metal substrate Chem. Vap. Depos. 12 290–4

    [70] Utriainen M, Kr.ger-Laukkanen M, Johansson L S and Niinist. L 2000 Studies of metallic thin film growth in an atomic layer epitaxy reactor using M(acac)2 (M = Ni, Cu, Pt) precursors Appl. Surf. Sci. 157 151–8

    [71] LeeHBR,KimWH,LeeJW, KimJM,HeoK,HwangIC, Park Y, Hong S and Kim H 2010 High quality area-selective atomic layer deposition co using ammonia gas as a reactant J. Electrochem. Soc. 157 D10–D15

    [72] Lee S J and Kim S H 2016 Effects of annealing on the properties of atomic layer deposited Ru thin films deposited by NH3 and H2 as reactants Thin Solid Films 612 122–7

    [73] Lim B S, Rahtu A and Gordon R G 2003 Atomic layer deposition of transition metals Nat. Mater. 2 749–54

    [74] KimWH,LeeHBR,HeoK,LeeYK,ChungTM, Kim C G, Hong S, Heo J and Kim H 2011 Atomic layer deposition of Ni thin films and application to area-selective deposition J. Electrochem. Soc. 158 D1–D5

    [75] Cwik S, Woods K N, Saly M J, Knisley T J and Winter C H 2020 Thermal atomic layer deposition of ruthenium metal thin films using nonoxidative coreactants J. Vac. Sci. Technol. A 38 012402

    [76] Sarr M, Bahlawane N, Arl D, Dossot M, McRae E and Lenoble D 2014 Tailoring the properties of atomic layer deposited nickel and nickel carbide thin films via chain-length control of the alcohol reducing agents J. Phys. Chem. C 118 23385–92

    [77] Huo J S, Solanki R and McAndrew J 2002 Characteristics of copper films produced via atomic layer deposition J. Mater. Res. 17 2394–8

    [78] Elam J W, Zinovev A, Han C Y, Wang H H, Welp U, Hryn J N and Pellin M J 2006 Atomic layer deposition of palladium films on Al2O3 surfaces Thin Solid Films 515 1664–73

    [79] Premkumar P A, Bahlawane N, Reiss G and Kohse-H.inghaus K 2007 CVD of metals using alcohols and metal acetylacetonates, Part II: role of solvent and characterization of metal films made by pulsed spray evaporation CVD Chem. Vap. Depos. 13 227–31

    [80] Bahlawane N, Premkumar P A, Brechling A, Reiss G and Kohse-H.inghaus K 2007 Alcohol-assisted CVD of silver using commercially available precursors Chem. Vap. Depos. 13 401–7

    [81] Tiznado H and Zaera F 2006 Surface chemistry in the atomic layer deposition of TiN films from TiCl4 and ammonia J. Phys. Chem. B 110 13491–8

    [82] Bouman M and Zaera F 2011 Reductive eliminations from amido metal complexes: implications for metal film deposition J. Electrochem. Soc. 158 D524–6

    [83] Fix R M, Gordon R G and Hoffman D M 1990 Synthesis of thin films by atmospheric pressure chemical vapor deposition using amido and imido titanium(IV) compounds as precursors Chem. Mater. 2 235–41

    [84] Kim S, Woo S, Kim H, Jeong W, Park T, Kim H, Kim S B and Jeon H 2007 Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures J. Vac. Sci. Technol. B 25 1922–7

    [85] Maeng W J and Kim H 2007 Atomic scale nitrogen depth profile control during plasma enhanced atomic layer deposition of high k dielectrics Appl. Phys. Lett. 91 092901

    [86] Guo Z and Wang X W 2018 Atomic layer deposition of the metal pyrites FeS2, CoS2, and NiS2 Angew. Chem., Int. Ed. 57 5898–902

    [87] Sobell Z C and George S M 2022 Electron-enhanced atomic layer deposition of titanium nitride films using an ammonia reactive background gas Chem. Mater. 34 9624–33

    [88] Knoops H C M, Langereis E, Van De Sanden M C M and Kessels W M M 2012 Reaction mechanisms of atomic layer deposition of TaNx from Ta(NMe2)5 precursor and H2-based plasmas J. Vac. Sci. Technol. A 30 01A101

    [89] Arts K, Deijkers J H, Faraz T, Puurunen R L, Kessels W M M and Knoops H C M 2020 Evidence for low-energy ions influencing plasma-assisted atomic layer deposition of SiO2: impact on the growth per cycle and wet etch rate Appl. Phys. Lett. 117 031602

    [90] Boris D R, Wheeler V D, Nepal N, Qadri S B, Walton S G and Eddy C R 2020 The role of plasma in plasma-enhanced atomic layer deposition of crystalline films J. Vac. Sci. Technol. A 38 040801

    [91] Profijt H B, Van De Sanden M C M and Kessels W M M 2012 Substrate biasing during plasma-assisted ALD for crystalline phase-control of TiO2 thin films Electrochem. Solid-State Lett. 15 G1–G3

    [92] Faraz T, Verstappen Y G P, Verheijen M A, Chittock N J, Lopez J E, Heijdra E, Van Gennip W J H, Kessels W M M and Mackus A J M 2020 Precise ion energy control with tailored waveform biasing for atomic scale processing J. Appl. Phys. 128 213301

    [93] Argile C and Rhead G E 1989 Adsorbed layer and thin film growth modes monitored by auger electron spectroscopy Surf. Sci. Rep. 10 277–356

    [94] Gusev E P, Cabral J C, Copel M, D’Emic C and Gribelyuk M 2003 Ultrathin HfO2 films grown on silicon by atomic layer deposition for advanced gate dielectrics applications Microelectron. Eng. 69 145–51

    [95] Copel M, Gribelyuk M and Gusev E 2000 Structure and stability of ultrathin zirconium oxide layers on Si(001) Appl. Phys. Lett. 76 436–8

    [96] Aaltonen T, Alén P, Ritala M and Leskel. M 2003 Ruthenium thin films grown by atomic layer deposition Chem. Vap. Depos. 9 45–49

    [97] Oh I K, Park B E, Seo S, Yeo B C, Tanskanen J, Lee H B R, Kim W H and Kim H 2018 Comparative study of the growth characteristics and electrical properties of atomic-layer-deposited HfO2 films obtained from metal halide and amide precursors J. Mater. Chem. C 6 7367–76

    [98] ParkBE,OhIK,LeeCW, LeeG,ShinYH, Lansalot-Matras C, Noh W, Kim H and Lee H B R 2016 Effects of Cl-based ligand structures on atomic layer deposited HfO2 J. Phys. Chem. C 120 5958–67

    [99] Zhao R, Guo Z and Wang X W 2018 Surface chemistry during atomic-layer deposition of nickel sulfide from nickel amidinate and H2S J. Phys. Chem. C 122 21514–20

    [100] Siimon H and Aarik J 1997 Thickness profiles of thin films caused by secondary reactions in flow-type atomic layer deposition reactors J. Phys. D: Appl. Phys. 30 1725–8

    [101] Pilli A, Jones J, Lee V, Chugh N, Kelber J, Pasquale F and LaVoie A 2018 In situ XPS study of low temperature atomic layer deposition of B2O3 films on Si using BCl3 and H2O precursors J. Vac. Sci. Technol. A 36 061503

    [102] Zhao R, Xiao S, Yang S H and Wang X W 2019 Surface thermolytic behavior of nickel amidinate and its implication on the atomic layer deposition of nickel compounds Chem. Mater. 31 5172–80

    [103] LiH,ShaoYD,SuYT, GaoYHandWangXW2016 Vapor-phase atomic layer deposition of nickel sulfide and its application for efficient oxygen-evolution electrocatalysis Chem. Mater. 28 1155–64

    [104] Grillo F, Van Bui H, Moulijn J A, Kreutzer M T and Van Ommen J R 2017 Understanding and controlling the aggregative growth of platinum nanoparticles in atomic layer deposition: an avenue to size selection J. Phys. Chem. Lett. 8 975–83

    [105] Mackus A J M, Verheijen M A, Leick N, Bol A A and Kessels W M M 2013 Influence of oxygen exposure on the nucleation of platinum atomic layer deposition: consequences for film growth, nanopatterning, and nanoparticle synthesis Chem. Mater. 25 1905–11

    [106] Zhao R and Wang X W 2019 Initial growth and agglomeration during atomic layer deposition of nickel sulfide Chem. Mater. 31 445–53

    [107] ZhuJH,ZhaoR,ShiJM,Wa QB,ZhangMandWangXW 2021 Metal exchange and diffusion during atomic layer deposition of cobalt and nickel sulfides Chem. Mater. 33 9403–12

    [108] Elam J W, Routkevitch D and George S M 2003 Properties of ZnO/Al2O3 alloy films grown using atomic layer deposition techniques J. Electrochem. Soc. 150 G339–47

    [109] Elam J W, Libera J A, Pellin M J and Stair P C 2007 Spatially controlled atomic layer deposition in porous materials Appl. Phys. Lett. 91 243105

    [110] Bayer T J M, Wachau A, Fuchs A, Deuermeier J and Klein A 2012 Atomic layer deposition of Al2O3 onto Sn-Doped In2O3: absence of self-limited adsorption during initial growth by oxygen diffusion from the substrate and band offset modification by Fermi level pinning in Al2O3 Chem. Mater. 24 4503–10

    [111] George S M and Lee Y 2016 Prospects for thermal atomic layer etching using sequential, self-limiting fluorination and ligand-exchange reactions ACS Nano 10 4889–94

    [112] George S M 2020 Mechanisms of thermal atomic layer etching Acc. Chem. Res. 53 1151–60

    [113] Fischer A, Routzahn A, George S M and Lill T 2021 Thermal atomic layer etching: a review J. Vac. Sci. Technol. A 39 030801

    [114] Klesko J P, Kerrigan M M and Winter C H 2016 Low temperature thermal atomic layer deposition of cobalt metal films Chem. Mater. 28 700–3

    [115] Holden K E K, Dezelah C L and Conley J F 2019 Atomic layer deposition of transparent p-type semiconducting nickel oxide using Ni(tBu2DAD)2 and ozone ACS Appl. Mater. Interfaces 11 30437–45

    [116] Kim J et al 2017 Low-temperature atomic layer deposition of cobalt oxide as an effective catalyst for photoelectrochemical water-splitting devices Chem. Mater. 29 5796–805

    [117] Kerrigan M M, Klesko J P and Winter C H 2017 Low temperature, selective atomic layer deposition of cobalt metal films using Bis(1,4-di-tert-butyl-1,3-diazadienyl)cobalt and alkylamine precursors Chem. Mater. 29 7458–66

    [118] Maeng W J, Choi D W, Park J and Park J 2015 Indium oxide thin film prepared by low temperature atomic layer deposition using liquid precursors and ozone oxidant J. Alloys Compd. 649 216–21

    [119] Kim K, Lee K, Han S J, Park T, Lee Y, Kim J, Yeom S and Jeon H 2007 Comparison of co films deposited by remote plasma atomic layer deposition method with cyclopentadienylcobalt dicarbonyl[CpCo(CO)2]and dicobalt octacarbonyl[Co2(CO)8]Jpn. J. Appl. Phys. 46 L173–6

    [120] Leick N, Agarwal S, Mackus A J M and Kessels W M M 2012 Dehydrogenation reactions during atomic layer deposition of Ru using O2 Chem. Mater. 24 3696–700

    [121] Bernal-Ramos K, Saly M J, Kanjolia R K and Chabal Y J 2015 Atomic layer deposition of cobalt silicide thin films studied by in situ infrared spectroscopy Chem. Mater. 27 4943–9

    [122] Bouman M and Zaera F 2016 Kinetics of adsorption of methylcyclopentadienyl manganese tricarbonyl on copper surfaces and implications for the atomic layer deposition of thin solid films J. Phys. Chem. C 120 8232–9

    [123] Gao Z N, Le D, Khaniya A, Dezelah C L, Woodruff J, Kanjolia R K, Kaden W E, Rahman T S and Banerjee P 2019 Self-catalyzed, low-temperature atomic layer deposition of ruthenium metal using zero-valent Ru(DMBD)(CO)3 and water Chem. Mater. 31 1304–17

    [124] Kim T H, Nandi D K, Ramesh R, Han S M, Shong B and Kim S H 2019 Some insights into atomic layer deposition of MoNx using Mo(CO)6 and NH3 and its diffusion barrier application Chem. Mater. 31 8338–50

    [125] Database of ALD processes (available at: www.atomiclimits. com/alddatabase/)

    [126] Ritala M, Leskel. M, Nyk.nen E, Soininen P and Niinist. L 1993 Growth of titanium dioxide thin films by atomic layer epitaxy Thin Solid Films 225 288–95

    [127] Alén P, Ritala M, Arstila K, Keinonen J and Leskel. M 2005 The growth and diffusion barrier properties of atomic layer deposited NbNx thin films Thin Solid Films 491 235–41

    [128] Rossnagel S M, Sherman A and Turner F 2000 Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers J. Vac. Sci. Technol. B 18 2016–20

    [129] Kim Y et al 2019 Synthesis of two-dimensional MoS2/graphene heterostructure by atomic layer deposition using MoF6 precursor Appl. Surf. Sci. 494 591–9

    [130] Klaus J W, Ferro S J and George S M 2000 Atomic layer deposition of tungsten using sequential surface chemistry with a sacrificial stripping reaction Thin Solid Films 360 145–53

    [131] Hwang Y H, Cho W J and Kim Y 2013 Investigation of tungsten nitride deposition using tungsten hexafluoride precursor for via and plug metallization Jpn. J. Appl. Phys. 52 10MC07

    [132] Ritala M and Leskel. M 1994 Zirconium dioxide thin films deposited by ale using zirconium tetrachloride as precursor Appl. Surf. Sci. 75 333–40

    [133] Ritala M, Leskel. M, Niinist. L, Prohaska T, Friedbacher G and Grasserbauer M 1994 Development of crystallinity and morphology in hafnium dioxide thin films grown by atomic layer epitaxy Thin Solid Films 250 72–80

    [134] Pore V, Hatanp.. T, Ritala M and Leskel. M 2009 Atomic layer deposition of metal tellurides and selenides using alkylsilyl compounds of tellurium and selenium J. Am. Chem. Soc. 131 3478–80

    [135] Kim O H, Kim D and Anderson T 2009 Atomic layer deposition of GaN using GaCl3 and NH3 J. Vac. Sci. Technol. A 27 923–8

    [136] Ihanus J, Lankinen M P, Kemell M, Ritala M and Leskel. M 2005 Aging of electroluminescent ZnS:Mn thin films deposited by atomic layer deposition processes J. Appl. Phys. 98 113526

    [137] Ott A W and Chang R P H 1999 Atomic layer-controlled growth of transparent conducting ZnO on plastic substrates Mater. Chem. Phys. 58 132–8

    [138] Bakke J R, H.gglund C, Jung H J, Sinclair R and Bent S F 2013 Atomic layer deposition of CdO and CdxZn1-xO films Mater. Chem. Phys. 140 465–71

    [139] Ott A W, Klaus J W, Johnson J M and George S M 1997 Al3O3 thin film growth on Si(100) using binary reaction sequence chemistry Thin Solid Films 292 135–44

    [140] Comstock D J and Elam J W 2012 Atomic layer deposition of Ga2O3 films using trimethylgallium and ozone Chem. Mater. 24 4011–8

    [141] Mane A U, Allen A J, Kanjolia R K and Elam J W 2016 Indium oxide thin films by atomic layer deposition using trimethylindium and ozone J. Phys. Chem. C 120 9874–83

    [142] Drozd V E and Aleskovski V B 1994 Synthesis of conducting oxides by ML-ALE Appl. Surf. Sci. 82–83 591–4

    [143] Burton B B, Goldstein D N and George S M 2009 Atomic layer deposition of MgO using Bis(ethylcyclopentadienyl)magnesium and H2O J. Phys. Chem. C 113 1939–46

    [144] Holme T P and Prinz F B 2007 Atomic layer deposition and chemical vapor deposition precursor selection method application to strontium and barium precursors J. Phys. Chem. A 111 8147–51

    [145] Putkonen M, Nieminen M, Niinist. J, Niinist. L and Sajavaara T 2001 Surface-controlled deposition of Sc2O3 thin films by atomic layer epitaxy using β-diketonate and organometallic precursors Chem. Mater. 13 4701–7

    [146] ShimJH,ParkJS,AnJ,GürTM,KangSandPrinzFB 2009 Intermediate-temperature ceramic fuel cells with thin film yttrium-doped barium zirconate electrolytes Chem. Mater. 21 3290–6

    [147] Burton B B, Fabreguette F H and George S M 2009 Atomic layer deposition of MnO using Bis(ethylcyclopentadienyl) manganese and H2O Thin Solid Films 517 5658–65

    [148] Rooth M, Johansson A, Kukli K, Aarik J, Boman M and H.rsta A 2008 Atomic layer deposition of iron oxide thin films and nanotubes using ferrocene and oxygen as precursors Chem. Vap. Depos. 14 67–70

    [149] Diskus M, Nilsen O and Fjellv.g H 2011 Thin films of cobalt oxide deposited on high aspect ratio supports by atomic layer deposition Chem. Vap. Depos. 17 135–40

    [150] Bachmann J, Zolotaryov A, Albrecht O, Goetze S, Berger A, Hesse D, Novikov D and Nielsch K 2011 Stoichiometry of nickel oxide films prepared by ALD Chem. Vap. Depos. 17 177–80

    [151] Kwon O K, Kim J H, Park H S and Kang S W 2004 Atomic layer deposition of ruthenium thin films for copper glue layer J. Electrochem. Soc. 151 G109–12

    [152] Elam J W, Martinson A B F, Pellin M J and Hupp J T 2006 Atomic layer deposition of In2O3 using cyclopentadienyl indium: a new synthetic route to transparent conducting oxide films Chem. Mater. 18 3571–8

    [153] Kozen A C, Pearse A J, Lin C F, Schroeder M A, Noked M, Lee S B and Rubloff G W 2014 Atomic layer deposition and in situ characterization of ultraclean lithium oxide and lithium hydroxide J. Phys. Chem. C 118 27749–53

    [154] Dufond M E, Diouf M W, Badie C, Laffon C, Parent P, Ferry D, Grosso D, Kools J C S, Elliott S D and Santinacci L 2020 Quantifying the extent of ligand incorporation and the effect on properties of TiO2 thin films grown by atomic layer deposition using an alkoxide or an alkylamide Chem. Mater. 32 1393–407

    [155] Jeong D, Lee J and Kim J 2004 Effects of various oxidizers on the ZrO2 thin films deposited by atomic layer deposition Integr. Ferroelectr. 67 41–48

    [156] Park H B, Cho M, Park J, Lee S W, Park T J and Hwang C S 2004 Improvements in reliability and leakage current properties of HfO2 gate dielectric films by in situ O3 oxidation of Si substrate Electrochem. Solid-State Lett. 7 G254–7

    [157] Musschoot J, Deduytsche D, Poelman H, Haemers J, Van Meirhaeghe R L, Van Den Berghe S and Detavernier C 2009 Comparison of thermal and plasma-enhanced ALD/CVD of vanadium pentoxide J. Electrochem. Soc. 156 P122

    [158] Kukli K, Ritala M and Leskel. M 1995 Atomic layer epitaxy growth of tantalum oxide thin films from Ta(OC2H5)5 and H2O J. Electrochem. Soc. 142 1670–5

    [159] Hashemi F S M, Cao L A, Mattelaer F, Sajavaara T, Van Ommen J R and Detavernier C 2019 Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films J. Vac. Sci. Technol. A 37 040901

    [160] Hatanp.. T, Ihanus J, Kansikas J, Mutikainen I, Ritala M and Leskel. M 1999 Properties of[Mg2(thd)4]as a precursor for atomic layer deposition of MgO thin films and crystal structures of[Mg2(thd)4]and[Mg(thd)2(EtOH)2]Chem. Mater. 11 1846–52

    [161] Nilsen O, Fjellv.g H and Kjekshus A 2004 Growth of calcium carbonate by the atomic layer chemical vapour deposition technique Thin Solid Films 450 240–7

    [162] Nilsen O, Lie M, Foss S, Fjellv.g H and Kjekshus A 2004 Effect of magnetic field on the growth of α-Fe2O3 thin films by atomic layer deposition Appl. Surf. Sci. 227 40–47

    [163] Klepper K B, Nilsen O and Fjellv.g H 2007 Growth of thin films of Co3O4 by atomic layer deposition Thin Solid Films 515 7772–81

    [164] Niskanen A, Rahtu A, Sajavaara T, Arstila K, Ritala M and Leskela M 2005 Radical-enhanced atomic layer deposition of metallic copper thin films J. Electrochem. Soc. 152 G25–G28

    [165] Aaltonen T, Ritala M, Tung Y L, Chi Y, Arstila K, Meinander K and Leskel. M 2004 Atomic layer deposition of noble metals: exploration of the low limit of the deposition temperature J. Mater. Res. 19 3353–8

    [166] Mattinen M, H.m.l.inen J, Vehkam.ki M, Heikkil. M J, Mizohata K, Jalkanen P, R.is.nen J, Ritala M and Leskel. M 2016 Atomic layer deposition of iridium thin films using sequential oxygen and hydrogen pulses J. Phys. Chem. C 120 15235–43

    [167] H.m.l.inen J, Munnik F, Ritala M and Leskel. M 2008 Atomic layer deposition of platinum oxide and metallic platinum thin films from Pt(acac)2 and ozone Chem. Mater. 20 6840–6

    [168] Nieminen M, Putkonen M and Niinist. L 2001 Formation and stability of lanthanum oxide thin films deposited from β-diketonate precursor Appl. Surf. Sci. 174 155–66

    [169] P.iv.saari J, Putkonen M and Niinist. L 2002 Cerium dioxide buffer layers at low temperature by atomic layer deposition J. Mater. Chem. 12 1828–32

    [170] P.iv.saari J, Putkonen M and Niinist. L 2005 A comparative study on lanthanide oxide thin films grown by atomic layer deposition Thin Solid Films 472 275–81

    [171] Myllym.ki P, Roeckerath M, Lopes J M, Schubert J, Mizohata K, Putkonen M and Niinist. L 2010 Rare earth scandate thin films by atomic layer deposition: effect of the rare earth cation size J. Mater. Chem. 20 4207–12

    [172] Hausmann D M, Kim E, Becker J and Gordon R G 2002 Atomic layer deposition of hafnium and zirconium oxides using metal amide precursors Chem. Mater. 14 4350–8

    [173] Kukli K, Ritala M, Lu J, Harsta A and Leskela M 2004 Properties of HfO2 thin films grown by ALD from hafnium tetrakis(ethylmethylamide) and water J. Electrochem. Soc. 151 F189–93

    [174] ZhaoR,GaoYH,GuoZ,SuYTandWangXW2017 Interface energy alignment of atomic-layer-deposited VOx on pentacene: an in situ photoelectron spectroscopy investigation ACS Appl. Mater. Interfaces 9 1885–90

    [175] Maeng W J and Kim H 2006 Thermal and plasma-enhanced ALD of Ta and Ti oxide thin films from alkylamide precursors Electrochem. Solid-State Lett. 9 G191–4

    [176] Dezelah C L, Niinist. J, Arstila K, Niinist. L and Winter C H 2006 Atomic layer deposition of Ga2O3 films from a dialkylamido-based precursor Chem. Mater. 18 471–5

    [177] Elam J W, Baker D A, Hryn A J, Martinson A B F, Pellin M J and Hupp J T 2008 Atomic layer deposition of tin oxide films using tetrakis(dimethylamino) tin J. Vac. Sci. Technol. A 26 244–52

    [178] Burton B B, Kang S W, Rhee S W and George S M 2009 SiO2 atomic layer deposition using tris(dimethylamino)silane and hydrogen peroxide studied by in situ transmission FTIR spectroscopy J. Phys. Chem. C 113 8249–57

    [179] Yoon C M et al 2018 Water-erasable memory device for security applications prepared by the atomic layer deposition of GeO2 Chem. Mater. 30 830–40

    [180] Kim S B, Yang C X, Powers T, Davis L M, Lou X B and Gordon R G 2016 Synthesis of calcium(II) amidinate precursors for atomic layer deposition through a redox reaction between calcium and amidines Angew. Chem., Int. Ed. 55 10228–33

    [181] De Rouffignac P, Yousef A P, Kim K H and Gordon R G 2006 ALD of scandium oxide from scandium Tris(N, N ′ -diisopropylacetamidinate) and water Electrochem. Solid-State Lett. 9 F45–F48

    [182] De Rouffignac P, Park J S and Gordon R G 2005 Atomic layer deposition of Y2O3 thin films from yttrium tris(N,N ′ -diisopropylacetamidinate) and water Chem. Mater. 17 4808–14

    [183] Weimer M S, Kim I S, Guo P J, Schaller R D, Martinson A B F and Hock A S 2017 Oxidation state discrimination in the atomic layer deposition of vanadium oxides Chem. Mater. 29 6238–44

    [184] Du L Y, Yu S S, Liu X F and Ding Y Q 2019 An efficient atomic layer deposition process of MnOx films using bis(N,N ′ -di-tert-butylacetamidinato)manganese-(II) and H2O as reactants Appl. Surf. Sci. 486 460–5

    [185] LiH,GaoYH,ShaoYD,SuYTandWangXW2015 Vapor-phase atomic layer deposition of Co9S8 and its application for supercapacitors Nano Lett. 15 6689–95

    [186] Guo Z, Li H, Chen Q, Sang L J, Yang L Z, Liu Z W and Wang X W 2015 Low-temperature atomic layer deposition of high purity, smooth, low resistivity copper films by using amidinate precursor and hydrogen plasma Chem. Mater. 27 5988–96

    [187] Kim S B, Jayaraman A, Chua D, Davis L M, Zheng S L, Zhao X Z, Lee S and Gordon R G 2018 Obtaining a low and wide atomic layer deposition window (150–275 .C) for In2O3 films using an InIII amidinate and H2O Eur. J. Chem. 24 9525–9

    [188] Huster N, Ghiyasi R, Zanders D, Rogalla D, Karppinen M and Devi A 2022 SnO deposition via water based ALD employing tin(II) formamidinate: precursor characterization and process development Dalton Trans. 51 14970–9

    [189] DuLY, WangKY, ZhongYP, LiuB,LiuXFandDingYQ 2020 A high growth rate process of ALD CeOx with amidinato-cerium[(N-iPr-AMD)3Ce]and O3 as precursors J. Mater. Sci. 55 5378–89

    [190] De Rouffignac P and Gordon R G 2006 Atomic layer deposition of praseodymium aluminum oxide for electrical applications Chem. Vap. Depos. 12 152–7

    [191] KimKH,Farmer DB,LehnJSM,RaoPVand Gordon R G 2006 Atomic layer deposition of gadolinium scandate films with high dielectric constant and low leakage current Appl. Phys. Lett. 89 133512

    [192] Paivasaari J, Dezelah I V C L, Back D, El-Kaderi H M, Heeg M J, Putkonen M, Niinisto L and Winter C H 2005 Synthesis, structure and properties of volatile lanthanide complexes containing amidinate ligands: application for Er2O3 thin film growth by atomic layer deposition J. Mater. Chem. 15 4224–33

    [193] Wang H T, Wang J J, Gordon R, Lehn J S M, Li H Z, Hong D and Shenai D V 2009 Atomic layer deposition of lanthanum-based ternary oxides Electrochem. Solid-State Lett. 12 G13–G15

    [194] Potts S E, Dingemans G, Lachaud C and Kessels W M M 2012 Plasma-enhanced and thermal atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide,[Al(CH3)2(μ-OiPr)]2, as an alternative aluminum precursor J. Vac. Sci. Technol. A 30 021505

    [195] Putkonen M and Niinist. L 2001 Zirconia thin films by atomic layer epitaxy. A comparative study on the use of novel precursors with ozone J. Mater. Chem. 11 3141–7

    [196] Niinist. J, Putkonen M, Niinist. L, Arstila K, Sajavaara T, Lu J, Kukli K, Ritala M and Leskel. M 2006 HfO2 films grown by ALD using cyclopentadienyl-type precursors and H2O or O3 as oxygen source J. Electrochem. Soc. 153 F39–F45

    [197] Klesko J P et al 2018 Selective atomic layer deposition mechanism for titanium dioxide films with (EtCp)Ti(NMe2)3: ozone versus water Chem. Mater. 30 970–81

    [198] Sepp.l. S, Niinist. J, Blanquart T, Kaipio M, Mizohata K, R.is.nen J, Lansalot-Matras C, Noh W, Ritala M and Leskel. M 2016 Heteroleptic cyclopentadienyl-amidinate precursors for atomic layer deposition (ALD) of Y, Pr, Gd, and Dy oxide thin films Chem. Mater. 28 5440–9

    [199] Sepp.l. S, Niinist. J, Mattinen M, Mizohata K, R.is.nen J, Noh W, Ritala M and Leskel. M 2018 Atomic layer deposition of lanthanum oxide with heteroleptic cyclopentadienyl-amidinate lanthanum precursor—effect of the oxygen source on the film growth and properties Thin Solid Films 660 199–206

    [200] Kim J M, Lee H B R, Lansalot C, Dussarrat C, Gatineau J and Kim H 2010 Plasma-enhanced atomic layer deposition of cobalt using cyclopentadienyl isopropyl acetamidinato-cobalt as a precursor Jpn. J. Appl. Phys. 49 05FA10

    [201] Knoops H C M, Baggetto L, Langereis E, Van De Sanden M C M, Klootwijk J H, Roozeboom F, Niessen R A H, Notten P H L and Kessels W M M 2008 Deposition of TiN and TaN by remote plasma ALD for Cu and Li diffusion barrier applications J. Electrochem. Soc. 155 G287–94

    [202] Aarik J, Aidla A, Kiisler A A, Uustare T and Sammelselg V 1999 Influence of substrate temperature on atomic layer growth and properties of HfO2 thin films Thin Solid Films 340 110–6

    [203] Park H B, Cho M, Park J, Lee S W, Hwang C S and Jeongb J 2004 Optimized nitridation of Al2O3 interlayers for atomic-layer-deposited HfO2 gate dielectric films Electrochem. Solid-State Lett. 7 F25–F29

    [204] Triyoso D H et al 2004 Film properties of ALD HfO2 and La2O3 gate dielectrics grown on Si with various pre-deposition treatments J. Vac. Sci. Technol. B 22 2121–7

    [205] T.rndahl T, Ottosson M and Carlsson J O 2004 Growth of copper metal by atomic layer deposition using copper(I) chloride, water and hydrogen as precursors Thin Solid Films 458 129–36

    [206] Asikainen T, Ritala M and Leskel. M 1994 Growth of In2S3 thin films by atomic layer epitaxy Appl. Surf. Sci. 82–83 122–5

    [207] Creighton J R 1989 A mechanism for selectivity loss during tungsten CVD J. Electrochem. Soc. 136 271–6

    [208] Szeghalmi A, Helgert M, Brunner R, Heyroth F, G.sele U and Knez M 2010 Tunable guided-mode resonance grating filter Adv. Funct. Mater. 20 2053–62

    [209] Kim J B, Kwon D R, Chakrabarti K, Lee C, Oh K Y and Lee J H 2002 Improvement in Al2O3 dielectric behavior by using ozone as an oxidant for the atomic layer deposition technique J. Appl. Phys. 92 6739–42

    [210] KimSK,LeeSW, HwangCS,MinYS,Won JYand Jeong J 2006 Low temperature (<100 .C) deposition of aluminum oxide thin films by ALD with O3 as oxidant J. Electrochem. Soc. 153 F69–F76

    [211] Hoex B, Heil S B S, Langereis E, Van De Sanden M C M and Kessels W M M 2006 Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3 Appl. Phys. Lett. 89 042112

    [212] Liu X Y, Zhao S X, Zhang L Q, Huang H F, Shi J S, Zhang C M, Lu H L, Wang PF and Zhang D W 2015 AlGaN/GaN MISHEMTs with AlN gate dielectric grown by thermal ALD technique Nanoscale Res. Lett. 10 109

    [213] Ishii M, Iwai S, Ueki T and Aoyagi Y 1998 Surface reaction mechanism and morphology control in AlP atomic layer epitaxy Thin Solid Films 318 6–10

    [214] Hirose S, Yoshida A, Yamaura M, Kano N and Munekata H 2000 Control of carbon incorporation in AlAs grown by atomic layer epitaxy using variously orientated substrates J. Mater. Sci., Mater. Electron. 11 7–10

    [215] Zafar M, Kim B and Kim D H 2019 Improvement in performance of inverted polymer solar cells by interface engineering of ALD ZnS on ZnO electron buffer layer Appl. Surf. Sci. 481 1442–8

    [216] Yokoyama M, Chen N T and Ueng H Y 2000 Growth and characterization of ZnSe on Si by atomic layer epitaxy J. Cryst. Growth 212 97–102

    [217] Wang W S, Ehsani H and Bhat I 1993 Improved CdTe layers on GaAs and Si using atomic layer epitaxy J. Electron. Mater. 22 873–8

    [218] Wheeler V D et al 2020 Phase control of crystalline Ga2O3 films by plasma-enhanced atomic layer deposition Chem. Mater. 32 1140–52

    [219] LimJW, KimT, KimJ,Yun SJ,JungKHandParkMA 2021 Photoinduced synaptic behavior of InxTiyO thin film transistors Adv. Electron. Mater. 7 2001049

    [220] Wang B W, Choi J, Kim H G, Hyun S D, Yoo C, Kim S, Lee H and Hwang C S 2021 Influences of oxygen source and substrate temperature on the unusual growth mechanism of atomic layer deposited magnesium oxide using bis(cyclopentadienyl)magnesium precursor J. Mater. Chem. C 9 15359–74

    [221] LeeW, HanJH,JeonW, Yoo YW, LeeSW, KimSK, Ko C H, Lansalot-Matras C and Hwang C S 2013 Atomic layer deposition of SrTiO3 films with cyclopentadienyl-based precursors for metal-insulator-metal capacitors Chem. Mater. 25 953–61

    [222] Vehkam.ki M, H.nninen T, Ritala M, Leskel. M, Sajavaara T, Rauhala E and Keinonen J 2001 Atomic layer deposition of SrTiO3 thin films from a novel strontium precursor-strontium-bis(tri-isopropyl cyclopentadienyl) Chem. Vap. Depos. 7 75–80

    [223] Ihanus J, H.nninen T, Hatanp.. T, Aaltonen T, Mutikainen I, Sajavaara T, Keinonen J, Ritala M and Leskel. M 2002 Atomic layer deposition of SrS and BaS thin films using cyclopentadienyl precursors Chem. Mater. 14 1937–44

    [224] KimWS,ParkSK,MoonDY, KangBW, KimHDand Park J W 2009 Characteristics of La2O3 thin films deposited using the ECR atomic layer deposition method J. Korean Phys. Soc. 55 590–3

    [225] ShinJW, OhS,LeeS,Yu JG,ParkJ,GoD,YangBC, Kim H J and An J 2019 Ultrathin atomic layer-deposited CeO2 overlayer for high-performance fuel cell electrodes ACS Appl. Mater. Interfaces 11 46651–7

    [226] Liu J, Lu H L, Zhang D W and Nolan M 2022 Self-limiting nitrogen/hydrogen plasma radical chemistry in plasma-enhanced atomic layer deposition of cobalt Nanoscale 14 4712–25

    [227] Hufnagel A G, Hen. A K, Hoffmann R, Zeman O E O, H.ringer S, Fattakhova-Rohlfing D and Bein T 2018 Electron-blocking and oxygen evolution catalyst layers by plasma-enhanced atomic layer deposition of nickel oxide Adv. Mater. Interfaces 5 1701531

    [228] Lu H L, Scarel G, Wiemer C, Perego M, Spiga S, Fanciulli M and Pavia G 2008 Atomic layer deposition of NiO films on Si(100) using cyclopentadienyl-type compounds and ozone as precursors J. Electrochem. Soc. 155 H807–11

    [229] Chae J, Park H S and Kang S W 2002 Atomic layer deposition of nickel by the reduction of preformed nickel oxide Electrochem. Solid-State Lett. 5 C64–C66

    [230] Oh I K, Kim H and Lee H B R 2017 Growth mechanism of Co thin films formed by plasma-enhanced atomic layer deposition using NH3 as plasma reactant Curr. Appl. Phys. 17 333–8

    [231] Vos M F J, Van Straaten G, Kessels W M M E and Mackus A J M 2018 Atomic layer deposition of cobalt using H2., N2., and NH3–based plasmas: on the role of the Co-reactant J. Phys. Chem. C 122 22519–29

    [232] Aaltonen T, Rahtu A, Ritala M and Leskel. M 2003 Reaction mechanism studies on atomic layer deposition of ruthenium and platinum Electrochem. Solid-State Lett. 6 C130–3

    [233] H.m.l.inen J, Hatanp.. T, Puukilainen E, Costelle L, Pilvi T, Ritala M and Leskel. M 2010 (MeCp)Ir(CHD) and molecular oxygen as precursors in atomic layer deposition of iridium J. Mater. Chem. 20 7669–75

    [234] Ma Q, Zheng H M, Shao Y, Zhu B, Liu W J, Ding S J and Zhang D W 2018 Atomic-layer-deposition of indium oxide nano-films for thin-film transistors Nanoscale Res. Lett. 13 4

    [235] Rahtu A and Ritala M 2002 Reaction mechanism studies on titanium isopropoxide-water atomic layer deposition process Chem. Vap. Depos. 8 21–28

    [236] Piszczek P, Radtke A, Muzio. T, Richert M and Chojnacki J 2012 The conversion of multinuclear μ-oxo titanium(IV) species in the reaction of Ti(OiBu)4 with branched organic acids; results of structural and spectroscopic studies Dalton Trans. 41 8261–9

    [237] Pore V, Rahtu A, Leskel. M, Ritala M, Sajavaara T and Keinonen J 2004 Atomic layer deposition of photocatalytic TiO2 thin films from titanium tetramethoxide and water Chem. Vap. Depos. 10 143–8

    [238] Chaukulkar R P and Agarwal S 2013 Atomic layer deposition of titanium dioxide using titanium tetrachloride and titanium tetraisopropoxide as precursors J. Vac. Sci. Technol. A 31 031509

    [239] Putkonen M, Sajavaara T, Johansson L S and Niinist. L 2001 Low-temperature ALE deposition of Y2O3 thin films from β-diketonate precursors Chem. Vap. Depos. 7 44–50

    [240] Hanninen T, Mutikainen I, Saanila V, Ritala M, Leskela M and Hanson J C 1997[Ca(Thd)2(tetraen)]: a monomeric precursor for deposition of CaS thin films Chem. Mater. 9 1234–40

    [241] Van T T and Chang J P 2005 Surface reaction kinetics of metal β-diketonate precursors with O radicals in radical-enhanced atomic layer deposition of metal oxides Appl. Surf. Sci. 246 250–61

    [242] Putkonen M, Johansson L S, Rauhala E and Niinist. L 1999 Surface-controlled growth of magnesium oxide thin films by atomic layer epitaxy J. Mater. Chem. 9 2449–52

    [243] Putkonen M, Sajavaara T, Rahkila P, Xu L T, Cheng S L, Niinist. L and Whitlow H J 2009 Atomic layer deposition and characterization of biocompatible hydroxyapatite thin films Thin Solid Films 517 5819–24

    [244] Kosola A, Putkonen M, Johansson L S and Niinist. L 2003 Effect of annealing in processing of strontium titanate thin films by ALD Appl. Surf. Sci. 211 102–12

    [245] Torndahl T, Ottosson M and Carlsson J O 2006 Growth of copper(I) nitride by ALD using copper(II) hexafluoroacetylacetonate, water, and ammonia as precursors J. Electrochem. Soc. 153 C146–51

    [246] Singh R and Ayyub M M 2021 Atomic layer deposition of crystalline β-NiS for superior sensing in thin-film non-enzymatic electrochemical glucose sensors ACS Appl. Electron. Mater. 3 1912–9

    [247] Mahuli N, Saha D and Sarkar S K 2017 Atomic layer deposition of p-type Bi2S3 J. Phys. Chem. C 121 8136–44

    [248] Sarkar S K, Kim J Y, Goldstein D N, Neale N R, Zhu K, Elliot C M, Frank A J and George S M 2010 In2S3 atomic layer deposition and its application as a sensitizer on TiO2 nanotube arrays for solar energy conversion J. Phys. Chem. C 114 8032–9

    [249] Aarik J, Aidla A, Jaek A, Leskel. M and Niinist. L 1994 Precursor properties of calcium β-diketonate in vapor phase atomic layer epitaxy Appl. Surf. Sci. 75 33–38

    [250] ParkNK,KangDK,KimBH,JoSJandHaJS2006 Electrical properties of La2O3 thin films grown on TiN/Si substrates via atomic layer deposition Appl. Surf. Sci. 252 8506–9

    [251] Kukli K, Peussa M, Johansson L S, Nyk.nen E and Niinist. L 1999 Controlled growth of yttrium oxysulphide thin films by atomic layer deposition Mater. Sci. Forum 315–317 216–21

    [252] Feng H, Elam J W, Libera J A, Setthapun W and Stair P C 2010 Palladium catalysts synthesized by atomic layer deposition for methanol decomposition Chem. Mater. 22 3133–42

    [253] Tripathi T S, Wilken M, Hoppe C, De Los Arcos T, Grundmeier G, Devi A and Karppinen M 2021 Atomic layer deposition of copper metal films from Cu(acac)2 and hydroquinone reductant Adv. Eng. Mater. 23 2100446

    [254] Pilvi T, Hatanp.. T, Puukilainen E, Arstila K, Bischoff M, Kaiser U, Kaiser N, Leskel. M and Ritala M 2007 Study of a novel ALD process for depositing MgF2 thin films J. Mater. Chem. 17 5077–83

    [255] Biercuk M J, Monsma D J, Marcus C M, Becker J S and Gordon R G 2003 Low-temperature atomic-layer-deposition lift-off method for microelectronic and nanoelectronic applications Appl. Phys. Lett. 83 2405–7

    [256] GaoYH,ShaoYD,Yan LJ,LiH,SuYT, MengHand Wang X W 2016 Efficient charge injection in organic field-effect transistors enabled by low-temperature atomic layer deposition of ultrathin VOx interlayer Adv. Funct. Mater. 26 4456–63

    [257] Wang X W, Guo Z, Gao Y H and Wang J 2017 Atomic layer deposition of vanadium oxide thin films from tetrakis(dimethylamino)vanadium precursor J. Mater. Res. 32 37–44

    [258] Ansari M Z, Janicek P, Nandi D K, Slang S, Bouska M, Oh H, Shong B and Kim S H 2021 Low-temperature growth of crystalline Tin(II) monosulfide thin films by atomic layer deposition using a liquid divalent tin precursor Appl. Surf. Sci. 565 150152

    [259] Hong T E et al 2015 Highly conformal amorphous W-Si-N thin films by plasma-enhanced atomic layer deposition as a diffusion barrier for Cu metallization J. Phys. Chem. C 119 1548–56

    [260] Kim S B, Sinsermsuksakul P, Hock A S, Pike R D and Gordon R G 2014 Synthesis of N-heterocyclic stannylene (Sn(II)) and germylene (Ge(II)) and a Sn(II) amidinate and their application as precursors for atomic layer deposition Chem. Mater. 26 3065–73

    [261] Chen Z X, Li X, Li W M and Lo G Q 2016 Plasma-enhanced atomic layer deposition (PEALD) of TiN using the organic precursor tetrakis(ethylmethylamido)titanium (TEMAT) MATEC Web Conf. 39 01010

    [262] Rouf P, O’Brien N J, Buttera S C, Martinovic I, Bakhit B, Martinsson E, Palisaitis J, Hsu C W and Pedersen H 2020 Epitaxial GaN using Ga(NMe2)3 and NH3 plasma by atomic layer deposition J. Mater. Chem. C 8 8457–65

    [263] .streng E, Vajeeston P, Nilsen O and Fjellv.g H 2012 Atomic layer deposition of lithium nitride and carbonate using lithium silylamide RSC Adv. 2 6315–22

    [264] Wang T and Ekerdt J G 2010 Subnanoscale lanthanum distribution in lanthanum-incorporated hafnium oxide thin films grown using atomic layer deposition Chem. Mater. 22 3798–806

    [265] Nam W H and Rhee S W 2004 Atomic layer deposition of ZrO2 thin films using dichlorobis[bis-(trimethylsilyl)amido]zirconium and water Chem. Vap. Depos. 10 201–5

    [266] Popov G, Mattinen M, Hatanp.. T, Vehkam.ki M, Kemell M, Mizohata K, R.is.nen J, Ritala M and Leskel. M 2019 Atomic layer deposition of PbI2 thin films Chem. Mater. 31 1101–9

    [267] Wan Z X, Zhang T F, Zeng Z H and Xi B 2022 Atomic layer deposition of CoxOy films: oxidants versus composition Adv. Mater. Interfaces 9 2200097

    [268] Guo Z, Zhao R, Yan S H, Xiong W, Zhu J H, Lu K and Wang X W 2021 Atomic layer deposition of FeSe2, CoSe2, and NiSe2 Chem. Mater. 33 2478–87

    [269] Shao Y D, Guo Z, Li H, Su Y T and Wang X W 2017 Atomic layer deposition of iron sulfide and its application as a catalyst in the hydrogenation of azobenzenes Angew. Chem., Int. Ed. 56 3226–31

    [270] WangXW, DongL,ZhangJY, LiuYQ,Ye PDDand Gordon R G 2013 Heteroepitaxy of La2O3 and La2-xYxO3 on GaAs (111)A by atomic layer deposition: achieving low interface trap density Nano Lett. 13 594–9

    [271] Lee B et al 2009 Electrical properties of atomic-layer-deposited La2O3 films using a novel La formamidinate precursor and ozone Microelectron. Eng. 86 1658–61

    [272] Blanquart T, Niinist. J, Ritala M and Leskel. M 2014 Atomic layer deposition of groups 4 and 5 transition metal oxide thin films: focus on heteroleptic precursors Chem. Vap. Depos. 20 189–208

    [273] Niinist. J et al 2008 Novel mixed alkylamido-cyclopentadienyl precursors for ALD of ZrO2 thin films J. Mater. Chem. 18 5243–7

    [274] Niinist. J, M.ntym.ki M, Kukli K, Costelle L, Puukilainen E, Ritala M and Leskel. M 2010 Growth and phase stabilization of HfO2 thin films by ALD using novel precursors J. Cryst. Growth 312 245–9

    [275] Elam J W, Schuisky M, Ferguson J D and George S M 2003 Surface chemistry and film growth during TiN atomic layer deposition using TDMAT and NH3 Thin Solid Films 436 145–56

    [276] Burton B B, Lavoie A R and George S M 2008 Tantalum nitride atomic layer deposition using (tert-butylimido) tris(diethylamido) tantalum and hydrazine J. Electrochem. Soc. 155 D508–16

    [277] Musschoot J, Xie Q, Deduytsche D, Van Den Berghe S, Van Meirhaeghe R L and Detavernier C 2009 Atomic layer deposition of titanium nitride from TDMAT precursor Microelectron. Eng. 86 72–77

    [278] Kim H, Detavernier C, Van Der Straten O, Rossnagel S M, Kellock A J and Park D G 2005 Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition J. Appl. Phys. 98 014308

    [279] Kim D H, Kim Y J, Park J H and Kim J H 2004 Preparation of TiN films by plasma assisted atomic layer deposition for copper metallization Mater. Sci. Eng. C 24 289–91

    [280] Li H, Zhao R, Zhu J H, Guo Z, Xiong W and Wang X W 2020 Organosulfur precursor for atomic layer deposition of high-quality metal sulfide films Chem. Mater. 32 8885–94

    [281] Park H H 2021 Inorganic materials by atomic layer deposition for perovskite solar cells Nanomaterials 11 88

    [282] Hossain M A, Khoo K T, Cui X, Poduval G K, Zhang T, Li X, Li W M and Hoex B 2020 Atomic layer deposition enabling higher efficiency solar cells: a review Nano Mater. Sci. 2 204–26

    [283] NiuWB,LiXL,KaruturiSK,Fam DW, Fan HJ, Shrestha S, Wong L H and Tok A I Y 2015 Applications of atomic layer deposition in solar cells Nanotechnology 26 064001

    [284] Raiford J A, Oyakhire S T and Bent S F 2020 Applications of atomic layer deposition and chemical vapor deposition for perovskite solar cells Energy Environ. Sci. 13 1997–2023

    [285] Wang X R and Yushin G 2015 Chemical vapor deposition and atomic layer deposition for advanced lithium ion batteries and supercapacitors Energy Environ. Sci. 8 1889–904

    [286] Li Z D, Su J J and Wang X D 2021 Atomic layer deposition in the development of supercapacitor and lithium-ion battery devices Carbon 179 299–326

    [287] Zhao Y et al 2021 Atomic/molecular layer deposition for energy storage and conversion Chem. Soc. Rev. 50 3889–956

    [288] Fonseca J and Lu J L 2021 Single-atom catalysts designed and prepared by the atomic layer deposition technique ACS Catal. 11 7018–59

    [289] Cao K, Cai J M, Liu X and Chen R 2018 Review article: catalysts design and synthesis via selective atomic layer deposition J. Vac. Sci. Technol. A 36 010801

    [290] Maina J W, Merenda A, Weber M, Pringle J M, Bechelany M, Hyde L and Dumée L F 2021 Atomic layer deposition of transition metal films and nanostructures for electronic and catalytic applications Crit. Rev. Solid State Mater. Sci. 46 468–89

    [291] SiMW, LinZH,ChenZZ,SunX,WangHYandYe PD 2022 Scaled indium oxide transistors fabricated using atomic layer deposition Nat. Electron. 5 164–70

    [292] Sheng J Z, Choi D W, Lee S H, Park J and Park J 2016 Performance modulation of transparent ALD indium oxide films on flexible substrates: transition between metal-like conductor and high performance semiconductor states J. Mater. Chem. C 4 7571–6

    [293] Kim H Y et al 2016 Low-temperature growth of indium oxide thin film by plasma-enhanced atomic layer deposition using liquid dimethyl (N-ethoxy-2,2-dimethylpropanamido)indium for high-mobility thin film transistor application ACS Appl. Mater. Interfaces 8 26924–31

    [294] Sheng J Z, Park E J, Shong B and Park J S 2017 Atomic layer deposition of an indium gallium oxide thin film for thin-film transistor applications ACS Appl. Mater. Interfaces 9 23934–40

    [295] HongT, JeongHJ,LeeHM,ChoiSH,LimJHandParkJS 2021 Significance of pairing In/Ga precursor structures on PEALD InGaOx thin-film transistor ACS Appl. Mater. Interfaces 13 28493–502

    [296] SeulHJ,KimMJ,YangHJ,ChoMH,ChoMH, Song W B and Jeong J K 2020 Atomic layer deposition process-enabled carrier mobility boosting in field-effect transistors through a nanoscale ZnO/IGO heterojunction ACS Appl. Mater. Interfaces 12 33887–98

    [297] BaekIH,PyeonJJ,HanSH,LeeGY, ChoiBJ,Han JH, Chung T M, Hwang C S and Kim S K 2019 High-performance thin-film transistors of quaternary indium-zinc-tin oxide films grown by atomic layer deposition ACS Appl. Mater. Interfaces 11 14892–901

    [298] ChoMH,ChoiCH,SeulHJ,ChoHCandJeongJK2021 Achieving a low-voltage, high-mobility IGZO transistor through an ALD-derived bilayer channel and a hafnia-based gate dielectric stack ACS Appl. Mater. Interfaces 13 16628–40

    [299] Cho M H, Seol H, Song A, Choi S, Song Y, Yun P S, ChungK B, Bae J U, Park K S and Jeong J K 2019 Comparative study on performance of IGZO transistors with sputtered and atomic layer deposited channel layer IEEE Trans. Electron Devices 66 1783–8

    [300] Li J Y, Zhang Y Q, Wang J L, Yang H, Zhou X L, Chan M S, Wang X W, Lu L and Zhang S D 2022 High-performance self-aligned top-gate amorphous InGaZnO TFTs with 4 nm-thick atomic-layer-deposited AlOx insulator IEEE Electron Device Lett. 43 729–32

    [301] B.scke T S, Müller J, Br.uhaus D, Schr.der U and B.ttger U 2011 Ferroelectricity in hafnium oxide thin films Appl. Phys. Lett. 99 102903

    [302] Cheema S S et al 2020 Enhanced ferroelectricity in ultrathin films grown directly on silicon Nature 580 478–82

    [303] Luo Q et al 2020 A highly CMOS compatible hafnia-based ferroelectric diode Nat. Commun. 11 1391

    [304] Mulaosmanovic H, Ocker J, Müller S, Schroeder U, Müller J, Polakowski P, Flachowsky S, Van Bentum R, Mikolajick T and Slesazeck S 2017 Switching kinetics in nanoscale hafnium oxide based ferroelectric field-effect transistors ACS Appl. Mater. Interfaces 9 3792–8

    [305] Chen W C, Huang F, Qin S, Yu Z, Lin Q, McIntyre P C, Wong S S and Wong H S P 2022 4 bits/cell hybrid 1F1R for high density embedded non-volatile memory and its application for compute in memory 2022 IEEE Symposium on VLSI Technology and Circuits (Honolulu, HI: IEEE) pp 244–5

    [306] Lee M H and Shue W S 2020 The overview of current interconnect technology challenges and future opportunities 2020 IEEE International Electron Devices Meeting (IEDM) (San Francisco, CA: IEEE) pp 32.1.1–32.1.4

    [307] Kim H W 2022 Recent trends in copper metallization Electronics 11 2914

    [308] ParkJS,LeeMJ,LeeCSandKangSW2001 Plasma-enhanced atomic layer deposition of tantalum nitrides using hydrogen radicals as a reducing agent Electrochem. Solid-State Lett. 4 C17–C19

    [309] Kim H and Rossnagel S M 2003 Plasma-enhanced atomic layer deposition of tantalum thin films: the growth and film properties Thin Solid Films 441 311–6

    [310] Wu L and Eisenbraun E 2009 Integration of atomic layer deposition-grown copper seed layers for Cu electroplating applications J. Electrochem. Soc. 156 H734–9

    [311] ParkJH,MoonDY, HanDS,KangYJ,ShinSR,JeonHT and Park J W 2014 Plasma-enhanced atomic layer deposition (PEALD) of cobalt thin films for copper direct electroplating Surf. Coat. Technol. 259 98–101

    [312] Witt C, Yeap K B, Le′sniewska A, Wan D, Jordan N, Ciofi I, Wu C and Tokei Z 2018 Testing the limits of TaN barrier scaling 2018 IEEE International Interconnect Technology Conference (IITC) (Santa Clara, CA: IEEE) pp 54–56

    [313] Torazawa N, Hirao S, Kanayama S, Korogi H and Matsumoto S 2016 The development of Cu filling and reliability performance with Ru-Ta alloy barrier for Cu interconnects J. Electrochem. Soc. 163 E173–8

    [314] Swerts J et al 2012 Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications J. Vac. Sci. Technol. A 30 01A103

    [315] Nogami T et al 2022 Advanced BEOL materials, processes, and integration to reduce line resistance of damascene Cu, Co, and subtractive Ru interconnects 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits) (Honolulu, HI: IEEE) pp 423–4

    [316] Kim J Y, Seo S, Kim D Y, Jeon H and Kim Y 2004 Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor J. Vac. Sci. Technol. A 22 8–12

    [317] Mu.noz-Rojas D, Maindron T, Esteve A, Piallat F, Kools J C S and Decams J M 2019 Speeding up the unique assets of atomic layer deposition Mater. Today Chem. 12 96–120

    [318] Poodt P, Cameron D C, Dickey E, George S M, Kuznetsov V, Parsons G N, Roozeboom F, Sundaram G and Vermeer A 2012 Spatial atomic layer deposition: a route towards further industrialization of atomic layer deposition J. Vac. Sci. Technol. A 30 010802

    [319] Hoye R L Z, Mu.noz-Rojas D, Nelson S F, Illiberi A, Poodt P, Roozeboom F and MacManus-Driscoll J L 2015 Research update: atmospheric pressure spatial atomic layer deposition of ZnO thin films: reactors, doping, and devices APL Mater. 3 040701

    [in Chinese], [in Chinese], [in Chinese]. Atomic layer deposition of thin films: from a chemistry perspective[J]. International Journal of Extreme Manufacturing, 2023, 5(3): 32003
    Download Citation